新思科技数字和定制设计平台已获得台积公司3奈米制程技术验证

全球TMT2020年10月10日,新思科技(Synopsys, Inc.)近日宣布,其数字和定制设计平台已获得台积公司3奈米制程技术验证。此次验证基于台积公司的最新设计参考手册(DRM)和工艺设计工具包(PDK),是经过广泛合作与严格验证的结果。该验证旨在提供设计解决方案,在获得优化PPA性能的同时加快新一代设计的进程。

通过与台积公司密切合作,新思科技开发了一系列关键的功能和新技术,从而确保从综合、布局布线到时序和物理签核在台积公司 N3制程实现全流程一致性。新思科技的Fusion Compiler™ RTL-to-GDSII解决方案和IC Compiler II布局布线解决方案全面支持台积公司 N3制程。新思科技的Design Compiler® NXT综合解决方案得到增强,让开发者能够充分利用台积公司 N3技术优势,获得高质量的设计结果(QoR),并利用高精度的全新电阻和电容估计方法实现与IC Compiler II布局布线解决方案关联的一致性。PrimeTime® 签核解决方案支持Advanced Mulit-input Switching(MIS),以实现准确的时序分析和签核收敛。此外,Design Compiler NXT支持台积公司 N3制程多种工艺,以实现高性能计算和移动芯片设计。

根据台积公司 N3制程技术特点,新思科技进一步增强了支持引脚密度感知布局和全局布线建模的数字设计平台,以实现更好的标准单元引脚布线收敛;协同单元放置检查和优化(CLO),以实现更快的时序收敛;通过新的单元映射(单元密度)基础架构,最大化利用空余空间来改善PPA;并通过自动生成过孔支柱(via pillar)和部分平行布线实现互连优化,以实现高性能设计;优化功耗感知混合驱动强度多位触发器(MBFF),以实现低功耗设计。

在新思科技定制的设计平台中增强了Custom Compiler的功能,以加快实现N3模拟芯片设计。这些功能增强是与N3早期用户(包括DesignWare® IP团队)共同开发并验证的,可减少新设计规则和其他N3技术要求所需的工作量。新思科技HSPICE®、FineSim®和CustomSim仿真解决方案有助于缩短基于台积公司 N3制程技术芯片设计的时间,并为台积公司 N3电路仿真和可靠性要求提供签核覆盖。